当前位置: 首页 > 教学考试 >

浅谈EDA技术及应用的教学

发布时间:2023-07-17 12:06:01 | 来源:网友投稿

摘要:本文简要地介绍了EDA技术的特点,用EDA技术作为开发手段,实现一个数字系统的设计。系统采用了顶层图形设计思想,基于硬件描述语言,以可编程器件为核心,具有体积小、可靠性高、灵活性强等特点,并简要地比较了EDA技术与传统电子设计方法的差异,总结出EDA技术的优势。

关键词:EDA数字系统;CPLD;VHDL

电子设计的必由之路是数字化,这已成为共识。在数字化的道路上,我国的电子技术经历了一系列重大的变革,从应用小规模集成电路构成电路系统,到广泛地应用微控制器或单片机(MCU),在电子系统设计上发生了具有里程碑意义的飞跃。实现可编程片上系统芯片CPLD(复杂可编程逻辑器件)和FPGA(现场可编程门阵列),必将成为今后电子系统设计的一个发展方向。所以说,电子设计技术发展到今天,又将面临另一次更大意义的突破:FPGA在EDA广泛应用。

一、 EDA技术的基本知识

(1)EDA技术的概念。EDA是电子设计自动Electronic Design Automation的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真,完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有三十多年的历程,大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB自动布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的计算机辅助工程设计阶段:这一阶段的主要特征是以逻辑模拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计能在产品制作之前预知产品的功能与性能。20世纪90年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言、系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

(2)硬件描述语言。硬件描述语言(VHDL)是一种用于进行电子系统硬件设计的计算机高级语言,它采用软件的设计方法来描述电子系统的逻辑功能、电路结构和连接形式。VHDL语言是一种高级描述语言,已得到众多EDA公司的支持,在电子工程领域,它们已成为事实上的通用硬件描述语言,承担几乎全部的数字系统的设计任务。应用VHDL进行电子系统设计有以下优点:①与其他硬件描述语言相比,VHDL具有更强的行为描述能力,强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。②VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能检查设计系统的功能可行性,并可以随时对系统进行仿真。③VHDL语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和对已有设计的再利用功能。④用VHDL完成的设计,可以利用EDA工具进行逻辑综合和优化,并可根据不同的目标芯片自动把VHDL描述设计转变成门级网表,这种设计方式极大地减少了电路设计的时间及可能发生的错误,从而降低了开发成本。⑤VHDL对设计的描述具有相对独立性,可以在设计者不懂硬件结构的情况下,也不必管最终设计的目标器件是什么,而进行独立的设计。⑥由于VHDL具有类属描述语句和子程序调用等功能,所以对于已完成的设计,可以在不改变源程序的情况下,只需改变类属参量或函数,就能很容易地改变设计的规模和结构。

二、 EDA技术的应用及发展趋势

(1)EDA技术的应用。电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本原理和基本概念、掌握描述系统逻辑的方法、使用EDA工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。在科研方面:主要利用电路仿真工具进行电路设计与仿真,利用虚拟仪器进行产品调试,将CPLD/FPGA器件的开发应用到仪器设备中。在产品设计与制造方面:从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在PCB的制作、电子设备的研制与生产、电路板的焊接等有重要作用。可以说,电子EDA技术已经成为电子工业领域不可缺少的技术支持。

(2)EDA技术发展趋势。EDA技术在进入21世纪后,由于更大规模的FPGA和CPLD的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。电子技术全方位纳入EDA领域,EDA使得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面:①使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;②基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;③软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认。作为高等院校有关专业的学生和广大的电子工程师了解和掌握这一先进技术是势在必行,这不仅是提高设计效率的需要,更是时代发展的需求,只有掌握了EDA技术才有能力参与世界电子工业市场的竞争,才能生存与发展。随着科技的进步,电子产品的更新日新月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。所以,发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。特别是EDA技术在我国尚未普及,掌握和普及这一全新的技术,将对我国电子技术的发展具有深远的意义。

参考文献:

[1]徐光辉.CPLD/TPGA的开发与应用[M].北京:电子工业出版社,

2002.

[2]杜玉远.EDA设计快速入门[J].电子世界,2004(1).

[3]刘宝琴.ALTERA可编程逻辑器件及其应用[M].北京:清华大学出版

社,1995.

(江西信息应用职业技术学院)

推荐访问:浅谈 教学 技术 EDA

本文标题:浅谈EDA技术及应用的教学
链接地址:http://www.ylwt22.com/jiaoxuekaoshi/2023/0717/275661.html

版权声明:
1.十号范文网的资料来自互联网以及用户的投稿,用于非商业性学习目的免费阅览。
2.《浅谈EDA技术及应用的教学》一文的著作权归原作者所有,仅供学习参考,转载或引用时请保留版权信息。
3.如果本网所转载内容不慎侵犯了您的权益,请联系我们,我们将会及时删除。

十号范文网 |
Copyright © 2018-2024 十号范文网 Inc. All Rights Reserved.十号范文网 版权所有
本站部分资源和信息来源于互联网,如有侵犯您的权益,请尽快联系我们进行处理,谢谢!备案号:粤ICP备18086540号